Uploaded image for project: 'UGENE'
  1. UGENE
  2. UGENE-506

Executable path bug when running task in separate process

    XMLWordPrintable

    Details

    • Type: Bug
    • Status: Closed
    • Priority: Minor
    • Resolution: Fixed
    • Affects Version/s: None
    • Fix Version/s: 1.9.5
    • Component/s: None
    • Labels:
      None
    • Environment:

      Linux development machine (UGENE not installed, just built)

      Description

      Fails to run a task (e.g. MUSCLE alignment) in separate cmdline UGENE process if UGENE started from directory different from executable path.

        Attachments

          Activity

            People

            Assignee:
            inovikov Ivan Novikov
            Reporter:
            inovikov Ivan Novikov
            Watchers:
            0 Start watching this issue

              Dates

              Created:
              Updated:
              Resolved: